Verilog-HDL

デジタル回路の設計は、回路図を書くのではなく、ハードウエア記述言語によって回路動作を記述します。

ハードウエア記述言語には、VHDLとVerilog-HDLがあります。

VHDLはむつかしいので、今後は、Verilog-HDLを使うことにします。

デジタル回路の設計って、例えば、CPUの設計とかです。

やはり、知識として知っといて使えた方がいいと思います。

追伸。我々は、CPUは設計しません。

 

Spread the love